[an error occurred while processing this directive]
А этот - не полнофункциональный? Или Влад имеет ввиду не от Web - версии (взято из сообщения Мартовского Котяры. Соседний форум)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено morfeus_ 29 января 2004 г. 11:46
В ответ на: Полнофункциональное лекарство для A-HDL 6.2 WEB. Отвечу на письмо. отправлено Vlad23 29 января 2004 г. 06:55

(Active-HDL 6.2 -
http://www.aldec.com/Software/?c=144733991806D8507FC69BDBE1A17B50

http://www.aldec.com/Software/Active-HDL6.2_Windows/One-File%20Download/AHDL62WebVersion.exe

лекарство - http://telesys.ru/wwwboards/vhdl/21/messages/7037.shtml
но там не все работает)
????-'Все, что выше - из другого сообщения. Сам не ставил - без коментариев [morfeus_]

Итак, обещанное лекарство для самой последней версии

---------avhdl.exe------------
0002B3B2: 74 EB
0002B3B3: 2F 1F
0002B3D3: B9 B8
0002B3D4: 80 13
0002B3D5: 51 00
0002B3D6: 01 00
0002B3D8: F7 90
0002B3D9: F9 90
0002B788: 7E EB
0002B7E7: 7E EB
0003CA3B: 01 04
------------------------------

---------bde.dll--------------
001FAE82: 7F 90
001FAE83: 4E 90
------------------------------

---------project.dll----------
0009E0D1: 74 EB
0009E0D2: 27 1E
0009E121: 74 EB
0009E122: 27 1E
0009E171: 74 EB
0009E172: 27 1E
------------------------------

---------vsim.dll-------------
00005049: 84 32
00005F2F: 84 32
00018489: 76 EB
0001861B: 84 32
000186DB: 84 32
00040EC7: 84 32
00040FB1: 84 32
------------------------------

Как всегда левая колонка - адрес в файле, средняя - байт в оригинальном файле, правая - байт замены. Этот кряк не требует получения 20-дневной полнофункциональной лицензии которую aldec видимо вообще перестал давать. Прямо скачиваем и патчим файлы. Пофиксено похоже всё. Единственно, aldec вроде бы пишет что в 6.2 ввели ограничение в 5 килобайт на размер компилируемого исходника. При проверке я этого не получил. Возможно плохо проверял. Короче как всегда, отчет о замеченных багах приветствуется.



Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru