[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Vjacheslav 01 февраля 2004 г. 14:29
В ответ на: To SM .. Подскажите пожалуйста как поднять скорость счетчика. Входная частота внешняя. Как его сделать конвейерным способом? Где материалы посмотреть по этому вопросу? Спасибо. отправлено АлГа 01 февраля 2004 г. 14:12

Опишите счетчик на VHDL/Verilog со сквозным переносом, в свойствах проекта поставьте оптимизацию времени - Assign\Global Project Logic Synthesis\ свойство: Global Project Synthesis Style - Fast и значение Optimise (бегунок) вправо до конца! Еще отметьте здесь же "Automatic Fast I/O". Это для MAX II. И получите максимально достижимую скорость счета!! При этом Ваш Клок автоматически станет глобальным.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru