[an error occurred while processing this directive]
Обьясните ошибку ...
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Amid 19 апреля 2004 г. 20:24

Почему ActiveHDL (ISE 5.1) отказывается понимать ограничения:
attribute clkdv_divide: string;
attribute clkdv_divide of clkdll2 : label is "4.0";
attribute syn_black_box of clkdv_divide: component is true;
attribute syn_noprune of CLKDLL: component is true;
attribute xc_alias of CLKDLL: component is "CLKDLL";
attribute xc_loc of clkdll1 : label is "DLL1P";
attribute xc_loc of clkdll2 : label is "DLL2P";

При компиляции выдаётся:
# Error: COMP96_0078: test_clk_dll.vhd : (86, 1): Unknown identifier "syn_black_box".
# Error: COMP96_0088: test_clk_dll.vhd : (86, 1): The attribute designator must denote an attribute.
# Error: COMP96_0078: test_clk_dll.vhd : (87, 1): Unknown identifier "syn_noprune".
# Error: COMP96_0088: test_clk_dll.vhd : (87, 1): The attribute designator must denote an attribute.
# Error: COMP96_0078: test_clk_dll.vhd : (88, 1): Unknown identifier "xc_alias".
# Error: COMP96_0088: test_clk_dll.vhd : (88, 1): The attribute designator must denote an attribute.
# Error: COMP96_0078: test_clk_dll.vhd : (89, 1): Unknown identifier "xc_loc".
# Error: COMP96_0088: test_clk_dll.vhd : (89, 1): The attribute designator must denote an attribute.
# Error: COMP96_0078: test_clk_dll.vhd : (90, 1): Unknown identifier "xc_loc".
# Error: COMP96_0088: test_clk_dll.vhd : (90, 1): The attribute designator must denote an attribute.

Может нужно какуюто библиотечку подключить?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru