[an error occurred while processing this directive] [an error occurred while processing this directive]
пробовал, услуга на 3 с плюсом
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Andy123 02 сентября 2001 г. 02:16
В ответ на: Вопрос по библиотекам для ORCAD (не знаю в какую конфу забросить) отправлено Алекс 31 августа 2001 г. 07:26

что имеется в виду.
по всему видно эта халява временная и спущена сверху, потом спустили сверху постановление о приостановке службы (чинуши @#$^&!!!!)
Видно что охрененная сложность регистрации и убогий интерфейс.
В итоге - сухой остаток неутешительный там МАЛо компонетов (нет например Flex 6000 от Altera)
Впрочем может оно и изменилось что-то с момента моего визита (весной)
но не хочется снова залезать в эту клоаку;/

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru