[an error occurred while processing this directive]
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
PACKAGE dumb IS
constant N : integer :=64;
subtype G_type is std_logic_vector(N-1 downto 0);
end dumb;
--------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE work.dumb.all;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity R is
generic(G : G_type:= (others=>'0'));
end R;
architecture rtl of R is
begin
end rtl;
E-mail: info@telesys.ru