[an error occurred while processing this directive]
Всем спасибо, разобрался (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Builder 23 апреля 2004 г. 10:44
В ответ на: Подскажите по VeriLog (+) отправлено Builder 22 апреля 2004 г. 17:29

Verilog для меня язык новый, раньше всё AHDL, а там generate частенько использовал, думал что здесь по аналогии пройдёт,
Да и не знал что for можно так использовать.
Нашёл книгу по синтезируемым конструкциям Verilog,
надеюсь что больше таких глупых вопросов не будет.
Ещё раз спасибо

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru