[an error occurred while processing this directive]
В таком виде не работает (извините, что вопрос дилетантский :) +
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Igor_K 11 мая 2004 г. 14:26
В ответ на: А что вложенные for...generate в VHDL не работают? один к одному отправлено KA 11 мая 2004 г. 13:44

Выход я задаю как STD_LOGIC_VECTOR, а переменная цикла Integer. Как перейти от одного к другому? Если использовать CONV_STD_LOGIC_VECTOR, то как? И вообще, как описать многомерный порт я пока так и не нашел.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru