[an error occurred while processing this directive]
Набери поиск по этой конференции "генератор" - и тебе будет благо. Очень много вопросов сразу снимется(+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено vvvvv 11 мая 2004 г. 21:55
В ответ на: Подскажите пожалуйста, как реализовать генератор прямоуг имп на vhdl для Altera. Если можно, примерчик. Спасибо. отправлено гоша 11 мая 2004 г. 12:20

А вообще делают так. На трех инверторах, кварце и 2-х емкостях делают внешний генератор. Выход с него подают на ПЛИС. В плисине
на VHDL ставишь простой D-триггер - это уже делитель на 2.
Можешь поставить их в цепочку - каждый делит входную частоту на два.
Инверсный Выход каждого триггера само собой соединен со входом.
Можно сделать круче - поставить счетчик с переменным коэффициентом деления . Все это - счетчики, триггеры на VHDL есть в стандартных библиотеках MAX PLUS II. А если тебе лень в них лезть- тогда извини.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru