[an error occurred while processing this directive]
Пользователи ISE51+MXE56a подскажите please....
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Boroda 22 мая 2004 г. 21:22

Тест vhdl модели 1533АП6 (на (ISE51+Sp3) + MXE 55a,fse...56a и др.)
проходит нормально,но если из него создать shematic symbol,затем включить в схему,задав входы и bidir,то ничего не работает.
То-же происходит с библиотечными элементами (shematic)имеющими bidir(IO).В ISE41 все работает правильно.
Может кто сталкивался и знает как быть?

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity ap6 is
Port (signal cod,coz:in std_logic;
signal a: inout std_logic_vector(7 downto 0);
signal b: inout std_logic_vector(7 downto 0));
end ap6;

architecture Behavioral of ap6 is
signal n_state: std_logic_vector(7 downto 0);
signal p_state: std_logic_vector(7 downto 0);
begin
b <= n_state when (coz = '0' and cod = '1')
else "ZZZZZZZZ";
a <= p_state when (coz = '0' and cod = '0')
else "ZZZZZZZZ";

n_state <= a;
p_state <= b;

end Behavioral;


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru