[an error occurred while processing this directive]
Есть *.edn файл от Foundation 3.1, использую его через компонент в VHDL- проекте(ISE6.1SP3), как BlackBox, однако пишет ошибку:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено maphin 31 мая 2004 г. 14:59

ERROR:NgdBuild:76 - File "E:\test_2\names.ngo" cannot be merged into block "n"(TYPE="names") because one or more pins on the block, including pin "DTRIG", were not found in the file. Please make sure that all pins on the instantiated component match pins in the lower-level design block (irrespective of case). If there are bussed pins on this block, make sure that the upper-level and lower-level netlists use the same bus-naming convention.

Но такие порты точно есть,специально сделал тестовый проект из входного и выходного триггера!!!
Кто-нибудь делал нечто подобное?

P.S.ReadCores в синтезе убирал, не помогает.
Тот же *.edn файл транслируется на ура, если он top-level.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru