[an error occurred while processing this directive]
С Леонардо не работаю, не знаю.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 01 июня 2004 г. 15:10
В ответ на: to V61: a как отмоделировать проект , в котором для одного компонента (напр. component RAMB4_S16_S16) используются несколько экземпляров с разными значениями INIT_** ?!??! отправлено Doka 01 июня 2004 г. 14:48

А если несколько - то вот так (кусок модуля, там 5 таблиц).
Намного лучше, чем несколько отдельных edf.

architecture UNIT_LINE of UNIT_LINE is
-- 0
constant ai00:bit_vector:= X"E0C3D802E6A90000139817CC0E2A0000F6BFF602FAE7000001FC014B003D0000";
...

-- -2.5
constant ai10:bit_vector:= X"E6A9E045EC6800000E2A107309410000FAE7FB34FE040000003D000000420000";.....
-- 0
attribute INIT_00 of U_RAM_1: label is "E0C3D802E6A90000139817CC0E2A0000F6BFF602FAE7000001FC014B003D0000";
...
-- -2.5
attribute INIT_00 of U_RAM_1m25: label is "E6A9E045EC6800000E2A107309410000FAE7FB34FE040000003D000000420000";
...

-- 0
u_ram_1 : RAMB4_S16
-- pragma translate_off
generic map (INIT_00 => ai00,INIT_01 => ai01,INIT_02 => ai02,INIT_03 => ai03,
INIT_04 => ai04,INIT_05 => ai05,INIT_06 => ai06,INIT_07 => ai07,
INIT_08 => ai08,INIT_09 => ai09,INIT_0A => ai0A,INIT_0B => ai0B,
INIT_0C => ai0C,INIT_0D => ai0D,INIT_0E => ai0E,INIT_0F => ai0F
)
-- pragma translate_on

port map(EN => en,WE => we, CLK => CLK, RST => RST,
DI => DI, ADDR => ADR(7 downto 0),
DO => DO_0 );
-- -2.5
u_ram_1m25 : RAMB4_S16
-- pragma translate_off
generic map (INIT_00 => ai10,INIT_01 => ai11,INIT_02 => ai12,INIT_03 => ai13,
INIT_04 => ai14,INIT_05 => ai15,INIT_06 => ai16,INIT_07 => ai17,
INIT_08 => ai18,INIT_09 => ai19,INIT_0A => ai1A,INIT_0B => ai1B,
INIT_0C => ai1C,INIT_0D => ai1D,INIT_0E => ai1E,INIT_0F => ai1F
)
-- pragma translate_on
port map(EN => en,WE => we, CLK => CLK, RST => RST,
DI => DI, ADDR => ADR(7 downto 0),
DO => DO_m25
);


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru