[an error occurred while processing this directive]
|
Можно использовать GENERIC в описании компонента:
entity lllll is
GENERIC (NUM_IN : natural := 4;
NUM_OUT : natural : 2)
PORT(inbus : in std_logic_vector(NUM_IN - 1 downto 0)
......
outbus : std_logic_vector(NUM_OUT - 1 downto 0));
end lllll
E-mail: info@telesys.ru