[an error occurred while processing this directive]
Делается так (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено vvvvvv 18 июня 2004 г. 05:36
В ответ на: как моделировать в ModelSim? отправлено Николай2 17 июня 2004 г. 14:08

Способ 1: Запомнить все выполненные команды в файл. Делается это
в Modelsim через File->Transcript->Save as...
Далее сохраненный файл вызывается в командной строке Modelsim
do . И все команды выполняются повторно. Для запоминаня
нужной последовательности перед первой командой в File->Transcript нужно нажать Clear( очистить память команд)

Способ 2: Написать testbench . Информации на них полно. Вот пример
testbench и dofile к нему для полностью автоматической симуляции.
Да симулируется простой счетчик 2 входа один выход:
===== Testbench ===========
entity counter_tb is
end counter_tb;
architecture counter_tb_a of counter_tb is
component counter is
port (count : inout bit_vector(8 downto 1);
clk : in bit;
reset : in bit
) ;
end component ;
signal t_clk : bit := '0' ;
signal t_reset : bit := '0' ;
signal t_count : bit_vector(8 downto 1) :="00000000" ;

begin -- architecture
clk_gen : process
begin
t_clk <='0' ;
wait for 50 ns ;
t_clk<='1' ;
wait for 50 ns ;
end process clk_gen ;

stimul : process
begin
t_reset <='0' ;
wait for 240 ns;
t_reset <='1' ;
wait for 30 ns ;
t_reset <='0' ;
wait ;
end process stimul ;
-- ----------------------
-- Instantiate the Design
-- ----------------------
UUT : counter port map
(clk => t_clk, reset=>t_reset, count => t_count);
end counter_tb_a ;
=============================
====== do file ===========

vlib work
vcom counter.vhd
vcom counter_tb.vhd
vsim -t 100ps counter_tb
add wave -decimal t_clk
add wave -binary t_reset
add wave -unsigned t_count
WaveActivateNextPane
add wave -decimal uut/clk
add wave -binary uut/reset
add wave -unsigned uut/count
TreeUpdate [SetDefaultTree]
view signal
view variable
run 100us

WaveRestoreCursor {240 ns}
WaveRestoreZoom {0 ns} {300 ns}

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru