[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено BAK 06 октября 2001 г. 20:16
В ответ на: Xilinx Foundation отправлено МИХАИЛ 06 октября 2001 г. 09:55

На этот счет лучше обратиться к ALEX-T. Купить у XILINX базовую версию придется как бы жмота не чесалась. Испытал на шкуре своей - хочешь жить нормально ПЛАТИ. Сервис для не зарегистрированных ?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru