[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено IgorK 23 октября 2001 г. 00:42
В ответ на: Проблемка с Clock отправлено Олег К 22 октября 2001 г. 08:47

Не нужно выводить наружу AD[] при помощи 'wire'.
Всегда защелкивайте AD[] и т.д. в регистре по _/ CLK и выводите наружу полученную копию. CLK выходит через IO pin и через 33 Ом поступает на GlobalCLKpin внешнего устройства (напр. вторая FPGA).
AD[] приобретет по крайней мере такую же задержку что и CLK (в реальности бОльшую). Точные соотношения между выходными сигналами полученными таким образом можете посмотреть осциллографом - они будут жесткими и не от чего не зависеть. Набирать задержку LCELL-ами конечно не нужно.

--IgorK

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru