[an error occurred while processing this directive]
Помогите побороть ошибку, выдаваемую при синтезе (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Amid 01 июля 2004 г. 21:26


ERROR:HDLParsers:164 - c:/My_Designs/test_rom/src/test_rom.vhd Line 532. parse error, unexpected $

На 532 строчке как раз заканчивается проэкт
....
ARCHITECTURE test_body OF test_rom IS
....
532 END test_body;

Кайф от вылавливания данной ошибки я уже получил - но требуемый реультат не достигнут - не могу понять в чем дело. Синтаксических ошибок вроде нет.
Использую ISE5.1 И ActiveHDL6.1

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru