[an error occurred while processing this directive]
For Ex:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено dpavlov 12 июля 2004 г. 17:03
В ответ на: Ответ: (+) отправлено Question 12 июля 2004 г. 16:47

process(clk)
begin
if (clk'event and clk = '1') then
if (UNSIGNED(cnt) > UNSIGNED(reg1)) then
cnt <= reg2;
else
cnt <= UNSIGNED(cnt) + 1;
end if;
end if;
end process;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru