[an error occurred while processing this directive]
Так в чем проблема?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 12 июля 2004 г. 17:21
В ответ на: Ответ: (+) отправлено Question 12 июля 2004 г. 16:47

Так как Вы написали.
process (clk)
begin
if (f56'event and f56 = '1') then
if cnt = rg0 then
cnt <= rg1;
else
cnt <= cnt - 1;
end if;
end if;
end process;
TI <= not(cnt(20));
Я бы сделал так:
process (clk)
begin
if (f56'event and f56 = '1') then
if cnt(20) = '1' then -- это период
cnt <= rgp;
else
cnt <= cnt - 1;
end if;
if cnt(20) = '1' then -- это длительность
Ti <= '1';
elsif cnt(k downto 0) = rgd
Ti <= '0';
end if;
end if;
end process;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru