[an error occurred while processing this directive]
Spartan-IIE & ISE6.1 - ERROR:MapLib:681
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено IZ 04 августа 2004 г. 15:58

Доброго времени суток.
Тут недавно человек уже спрашивал. Посоветовали пакет поновее попробовать.
Поставили ISE6.1.

Вот строчка из UCF:
NET "CPU_A<12>" LOC = "P116";

В результатем вот что:

Started process "Map".

Using target part "2s50pq208-6".

ERROR:MapLib:681 - LOC constraint P116 on $I185 is invalid: No such site on the device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

При установке XIL_MAP_LOCWARN цепь разводится на любой свободный пин, а LOC = "P116" игнорируется.

В результате вот что говорит Pad Report:
P116 - GND
P117 - VCCO
P118 - VCCINT


Согласно документу DS077-4 (2.1) February 14, 2003 Product Specification:

P116 - I/O
P117 - GND
P118 - VCCO
P119 - VCCINT

Такая же ситуация еще с 8 пинами.
В пакетах 3.1 и 4.1 было тоже самое.

Может кто с подобным сталкивался?
Вообщем, помогите кто чем может.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru