[an error occurred while processing this directive]
Большое спасибо! (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Doka 04 августа 2004 г. 18:11
В ответ на: Тут такое дело. отправлено V61 04 августа 2004 г. 15:51

по ресурсам проходит:

Selected Device : 2v1500bg575-5
Number of Slices: 137 out of 7680 1%
Number of 4 input LUTs: 192 out of 15360 1%

решение действительно простое и очевидное.. но сам почему-то не догадался использовать такую конструкцию :-)

при синтезе подставляется :
Found 16-bit 24-to-1 multiplexer for signal .

еще раз Большое спасибо!


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru