[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено IgorK 31 октября 2001 г. 02:12
В ответ на: Ответ: Компилятор. отправлено ur3itp 30 октября 2001 г. 13:15

Думаю, что привязка к конкретным LE во Floorplan актуальной не будет. В первую очередь посмотрите Timing Analisys->Registered Performance. Нужно вписываться по частоте (т.е. не менее 66MHz). Если не вписываетесь, то ‘List Path’ и просматривайте критические отрезки. Также важно чтобы удовлетворялись времена t_setup по входным сигналам. Определенно можно сказать, что 66 должны приходить на Global CLK pin. Может понадобиться ввести ‘Cliques’ (Assign->Clique) для группировки частей схемы (напр. большие комбинаторные функции) в близлежащих LE (без определенной привязки). Варьировать параметрами настройки компилятора не стоит, остановившись на
Assign->GlobalProgectLogicSysthesys->SynthesysStyle ’Fast’.
На всякий случай, если что-то меняли, выберите на втором и третьем (последнем) уровне вложенности UseDefault, и там же отмените пункт ReduceLogic. Насколько большой кристалл вы используете и из какой серии? Насколько он заполнен?

--IgorK

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru