[an error occurred while processing this directive]
в ветке VHDL я кидал исходник, попробуйте, а потом сообщите :)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено imsushka 16 сентября 2004 г. 12:05
В ответ на: Странно (+) отправлено Dr.Alex 16 сентября 2004 г. 11:27


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru