[an error occurred while processing this directive]
Где установить приоритет для ROC компонента (+) ?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Lucky- 16 сентября 2004 г. 17:45

ALDEC 6.1 + ISE 5.2

код:
architecture entity1 of entity1 is
signal rst :STD_LOGIC := '1'; --In Reset Position
signal data :STD_LOGIC_vector(1 downto 0) :="11";

component ROC
-- synthesis translate_off
generic (WIDTH : Time := 100 ns);
-- synthesis translate_on
port (O : out std_ulogic := '1');
end component;
begin
my_ROC : ROC port map (O => rst);
process (clk, rst)
begin
if (rst = '1') then
data <= "01";
elsif (clk'EVENT and clk = '1') then
data <= data(0) & data(1);
end if;
end process;
end entity1;

Приоритет установки signal'a data = "11" оказался выше чем data = "01" после компонентя ROC. Хотя для Synplicity наоборот.
Можно ли в Aldec'e поменять приоритет?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru