[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: To Pashka.......
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено P_Andrey 30 ноября 2001 г. 16:10
В ответ на: Ответ: Xilinx сам рассылаем 60-ти дневные версии. ПОЛНОФУНКЦЫОНАЛЬНЫЕ! Мне пришла через 5 дней после заказа на сайте. Доставили курьером. отправлено Pashka 29 ноября 2001 г. 16:55

А можно узнать полную ссылку, где заказывать этот оценочный пакет?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru