[an error occurred while processing this directive]
так simprim, unisim & XilinxCoreLib я в ISE генерю и он сам их подключает к ModelSim'у ... это какая-то хитрая языковая трабла с объявлением UNISIM-компонента в пакете
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Doka 26 сентября 2004 г. 14:04
В ответ на: Ответ: отправлено himer 26 сентября 2004 г. 13:21


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru