[an error occurred while processing this directive]
Да причем тут unisim? (+)
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 27 сентября 2004 г. 16:29
В ответ на: в какой-нить книжке по VHDL пишут об особенностях работы с компонентами библиотеки UNISIM ? отправлено Doka 27 сентября 2004 г. 15:47

Что вы сделали?

Вы продекларировали:
UNISIM.VComponents.all;

Я бы сказал, что это бессмысленно. Вы смотрели внутрь этого пакета? Там только декларации компонентов, больше ничего. Т. е. вы сделали работу, которую уже сделали до вас добрые дяди из Xilinx.

Дальше, вы в своем пакете определили компоненты, у которых имена такие же, как и в пакете UNISIM.VComponents. Но при этом _ваши_ компоненты не имеют никакого отношения к компонентам из UNISIM.VComponents, т. е. у вас имеются два компонента:

UNISIM.VComponents.RAMB16_S18_S18
WORK.FIR_DEC_COE.RAMB16_S18_S18

Это _разные_ компоненты. Поведенческое описание первого лежит в библиотеке UNISIM (заметьте: в библиотеке UNISIM, а не в пакете UNISIM.VComponents). Поведенческого описания второго просто нет. Поэтому и ругается компилятор.

И вы хотите, чтобы ваши компоненты компилятор каким-то образом связал с описаниями из UNISIM? На основании чего? Вы же ничего ему не сказали. Я, чесгря, не знаю, есть ли какой-то простой путь это сделать. Скорее всего, надо пробовать как-то через configuration.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru