[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено urri 13 октября 2004 г. 17:22
В ответ на: Народ, поделитесь, пожалуйста, опытом по использованию embedded memory в альтеровских FPGA? отправлено dxp 13 октября 2004 г. 13:57

Экперементировал с embedded memory в Acex. Синтезатор Synplify Pro 7.2 , язык VHDL. Результат такой: Если память описывать как массив регистров, то синтезатор успешно запихивает все эти регистры во встроенную память. НО память при этом берет только асинхронную. Все регистры на шинах адреса и данных делаются на логических ячейках. Регистры встроенные в память не смог заставить использовать никакими ухищрениями. Все это приводит к существенному снижению быстродействия и разбазариванию ресурсов. :( В результате для описания памяти использую LPM элементы.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru