[an error occurred while processing this directive]
а в описательном так можно
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено lutik 18 октября 2004 г. 11:57
В ответ на: Я описательном языке не знаю, а схемотехнически это довольно просто. отправлено rezident 17 октября 2004 г. 23:18

library ieee;
use ieee.std_logic_1164.all;
entity Front is
port (data,clk : in std_logic;
pQ,mQ,dQ : out std_logic);
end Front ;
architecture arc of Front is
signal s : std_logic ;
begin
process(clk) begin
if clk='1' and clk'event then
s <= not data;-- after 5ns;
end if ;
end process ;
pQ <= data and s ;
mQ <= not(data or s);
dQ <= not data xor s ;
end arc ;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru