[an error occurred while processing this directive]
Ответ: Фрагменты моего VHDL-файла для временной симуляции ...
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Vasilij 19 октября 2004 г. 00:03
В ответ на: Я пользуюсь: отправлено Figaro 18 октября 2004 г. 18:26

.
.

entity ROC is
generic (InstancePath: STRING := "*";
WIDTH : Time := 100 ns);
port(O : out std_ulogic := '1') ;
attribute VITAL_LEVEL0 of ROC : entity is TRUE;
end ROC;

architecture ROC_V of ROC is
attribute VITAL_LEVEL0 of ROC_V : architecture is TRUE;
begin
ONE_SHOT : process
begin
if (WIDTH <= 0 ns) then
assert FALSE report
"*** Error: a positive value of WIDTH must be specified ***"
severity failure;
else
wait for WIDTH;
O <= '0';
end if;
wait;
end process ONE_SHOT;
end ROC_V;

.
.

entity TOC is
generic (InstancePath: STRING := "*";
WIDTH : Time := 0 ns);
port(O : out std_ulogic := '0');
attribute VITAL_LEVEL0 of TOC : entity is TRUE;
end TOC;

.
.

entity Test_shem is
port (
.
.
.
);
end Test_shem;

architecture STRUCTURE of Test_shem is
component ROC
generic (InstancePath: STRING := "*";
WIDTH : Time := 100 ns); -- здесь я исправлял
port (O : out STD_ULOGIC := '1');
end component;

component TOC
generic (InstancePath: STRING := "*";
WIDTH : Time := 0 ns);
port (O : out STD_ULOGIC := '1');
end component;

.
.

signal GSR : STD_LOGIC;
signal GTS : STD_LOGIC;

.
.

begin

.
.

NlwBlockROC : ROC port map (O => GSR);
NlwBlockTOC : TOC port map (O => GTS);
end STRUCTURE;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru