[an error occurred while processing this directive]
С этой частью вопросов нет, а что делать с(+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено ux 20 октября 2004 г. 15:04
В ответ на: Я Вам статью послал. Посмотрите. отправлено SAZH 20 октября 2004 г. 14:18

этими мегафункциями для переносимости кода? Заменять поведенческим описанием, надеясь на синтезатор? Или есть такие же библиотеки VHDLные
чтоб под любую технологию?

Arithmetic Components
altaccumulate lpm_add_sub
altfp_mult lpm_compare
altmemmult lpm_counter
altmult_accum lpm_divide
altmult_add lpm_mult
divide* parallel_add
lpm_abs

Gates
busmux lpm_inv
lpm_and lpm_mux
lpm_bustri lpm_or
lpm_clshift lpm_xor
lpm_constant mux
lpm_decode

I/O Components
altcdr_rx altdqs
altcdr_tx altgxb
altclkctrl altlvds_rx
altclklock altlvds_tx
altddio_bidir altpll
altddio_in altpll_reconfig
altddio_out altremote_update
altdq

Memory Compiler
altcsmem
(FIFO partitioner)

Storage Components
alt3pram scfifo*
altcam lpm_ff
altdpram* lpm_fifo
altqpram lpm_fifo_dc
altshift_taps lpm_latch
altsyncram lpm_ram_dp
altufm_none lpm_ram_dq
altufm_parallel lpm_ram_io
altufm_spi lpm_rom
csdpram* lpm_shiftreg
csfifo* lpm_dff*
dcfifo* lpm_tff*

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru