[an error occurred while processing this directive]
Такой вопрос по актив хдл(+)Помогите плизззз.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Figaro 01 ноября 2004 г. 10:40

Создал я проект(RATE_LOCKED_LOOP) создал в нем элемент(R_L_L), вот, и хочу в другом проекте(например ADD56) использовать этот элемент. Я в библиотеках в ADD56 добавляю (Attach) свою библиотеку добавляю в Symbols Toolbox. Создаю в новом проекте новый элемент ProvRLL в этом элементе из Symbols Toolbox вставляю свой элемент из библиотеки RATE_LOCKED_LOOP. Начинаю синтезировать, а он(актив) мне вот такое выдает:

Compiling vhdl file

d:/My_Designs/DT_32/synthesis/./../compile/ProvRLL.vhd in Library work.
ERROR:HDLParsers:3317 - d:/My_Designs/DT_32/synthesis/./../compile/ProvRLL.vhd Line 26. Library RATELOCKEDLOOP cannot be found.
ERROR:HDLParsers:3010 - d:/My_Designs/DT_32/synthesis/./../compile/ProvRLL.vhd Line 44. Entity ProvRLL does not exist.
ERROR:HDLParsers:3312 - d:/My_Designs/DT_32/synthesis/./../compile/ProvRLL.vhd Line 50. Undefined symbol 'STD_LOGIC'.
ERROR:HDLParsers:1209 - d:/My_Designs/DT_32/synthesis/./../compile/ProvRLL.vhd Line 50. STD_LOGIC: Undefined symbol (last report in this block)
ERROR:HDLParsers:3312 - d:/My_Designs/DT_32/synthesis/./../compile/ProvRLL.vhd Line 54. Undefined symbol 'std_ulogic'.
ERROR:HDLParsers:1209 - d:/My_Designs/DT_32/synthesis/./../compile/ProvRLL.vhd Line 54. std_ulogic: Undefined symbol (last report in this block)
ERROR:HDLParsers:3312 - d:/My_Designs/DT_32/synthesis/./../compile/ProvRLL.vhd Line 57. Undefined symbol 'STD_LOGIC_VECTOR'.
ERROR:HDLParsers:1209 - d:/My_Designs/DT_32/synthesis/./../compile/ProvRLL.vhd Line 57. STD_LOGIC_VECTOR: Undefined symbol (last report in this block)
ERROR:HDLParsers:709 - d:/My_Designs/DT_32/synthesis/./../compile/ProvRLL.vhd Line 66. R_L_L is not an entity name
ERROR:HDLParsers:3312 - d:/My_Designs/DT_32/synthesis/./../compile/ProvRLL.vhd Line 74. Undefined symbol 'C'.
ERROR:HDLParsers:1209 -
............
...........
d:/My_Designs/DT_32/synthesis/./../compile/ProvRLL.vhd Line 83. One: Undefined symbol (last report in this block)

WARNING:HDLParsers:3465 - Library as no units. Did not save reference file xst/work/hdllib.ref for it.

Да еще HDL Synthesis: Xil ISE 6.x XST VHDL/Verilog
Implementation: Xil ISE 6.x
Family:XilinxISE6xSpartan 3



Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru