[an error occurred while processing this directive]
Согласен, красивее и приятнее, чем AHDL, пока языка описания аппаратуры не встречал (+)
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено SM 20 декабря 2004 г. 13:01
В ответ на: Неправда(+) отправлено ux 20 декабря 2004 г. 12:20

Среди AHDL, VHDL, verilog, SystemC для описания именно ЖЕЛЕЗА на мой личный взгляд первый наиболее удобен, экономен в количестве писанины и понятен. Потом перейти на другой язык действительно просто. Основное преимущество AHDL, что он ближе к железу, чем все остальное, и соответственно более прост в понимании тем, кто переходит со схемотехники на ПЛИС.

Другое дело что жисть заставляет вериложничать, но тут уж ничего не попишешь. Так как не альтерой единой...

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru