[an error occurred while processing this directive]
Такого не делал, (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено dxp 20 декабря 2004 г. 14:27
В ответ на: Как раз VHDL осваиваю, как(+) отправлено ux 20 декабря 2004 г. 14:16

но видятся два пути. 1) Руками разбить на более мелкие умножения и промежуточные продукты пропускать через регистры (с последующим суммированием). Количество стадий - по вкусу. 2) Указать синтезатору констрейн на этот узел - сколько клоков от входа до выхода. По идее должно работать, но надо проверять. Я тут не стал возиться, не побрезговал lpm_mult'ом. А остальное на V-языках получается компактнее, стройнее и лучше.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru