[an error occurred while processing this directive] [an error occurred while processing this directive]
Средства САПР. Для ПЛИС, DSP, разводки плат. Отвечу на e-mail alexsey_t@mail.ru
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Alexsey 12 февраля 2002 г. 06:23

Xilinx ISE 4.1, Aldec A-HDL 5.1, PCAD 2001 SP3 и многое лругое

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru