[an error occurred while processing this directive]
Нету у меня моделсима и сторонних компиляторов, пользую "голый" Quartus :-(
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено Beginner 11 марта 2005 г. 15:15
В ответ на: То есть entity и сигнал. Но это не важно. Моделсим воспринял этот код нормально. отправлено andrew_b 11 марта 2005 г. 15:05


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru