[an error occurred while processing this directive]
Ответ: Проверил для EP1K30TC144-3 (код просто скопировал и не правил) все прошло ...
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Apast 11 марта 2005 г. 16:39
В ответ на: Народ, хелпните. Компилятор Quartus'а не справляется со следующей казалось бы простой конструкцие на VHDL :-(( отправлено Beginner 11 марта 2005 г. 14:34

Quartus 4.2 + SP1 занял 48 селов и 50 ног. Может что-то не так с настройками я использовал по умолчанию, да и пины естественно не ставил (не приваивал).

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru