[an error occurred while processing this directive]
Ответ (+)
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 04 апреля 2005 г. 14:29
В ответ на: А можно где-нибудь достать эти библиотеки в неоткомпилированном виде? отправлено 1 04 апреля 2005 г. 13:49

Сорцы библиотек доступны в $XILINX/vhdl/src.($XILINX --- это переменная окружения, показывающая, где установлен пакет Xilinx).
Библиотеки Xilinx-specific это: XilinxCoreLib, simprims, unisims. Компилировать их надо в таком порядке: simprims, unisims,XilinxCoreLib.

Дальше примерно так:

Лучше всего определить переменную $VHDL_LIB, которая бы содержала путь к библиотекам ModelSim. Возможно, в системк уже подобная есть.

В симуляторе заходим в директорию $XILINX/vhdl/src/simprims. Потом:
vlib $VHDL_LIB/simprim
vmap simprim $VHDL_LIB/simprim
vcom -93 -work simprim -nodebug simprim_Vpackage_mti.vhd
vcom -93 -work simprim -nodebug simprim_Vcomponents_mti.vhd
vcom -93 -work simprim -nodebug simprim_VITAL_mti.vhd

Аналогично для unisim:
cd $XILINX/vhdl/src/unisims
vlib $VHDL_LIB/unisim
vmap unisim $VHDL_LIB/unisim
vcom -93 -work unisim -nodebug unisim_VPKG.vhd
vcom -93 -work unisim -nodebug unisim_VCOMP.vhd
vcom -93 -work unisim -nodebug unisim_VITAL.vhd

Почти то же самое для XilinxCoreLib.
cd $XILINX/vhdl/src/XilinxCoreLib
vlib $VHDL_LIB/XilinxCoreLib
vmap XilinxCoreLib $VHDL_LIB/XilinxCoreLib

Потом надо превратить файлик vhdl_analyze_order в ModelSim'овский макрос, чтобы не перебирать все файлы по одному. Превращение:
Шаг 1: переименовать в vhdl_analyze_order.do
Шаг 2: перед именем каждого файла вставить
vcom -93 -work XilinxCoreLib -nodebug
Затем этот макрос исполнить:
do vhdl_analyze_order.do

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru