[an error occurred while processing this directive]
(+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено -=Sergei=- 20 апреля 2005 г. 15:45
В ответ на: хмм может сделать тривиально ?? используя FIFO c разными частотами тактирования ? отправлено des00 20 апреля 2005 г. 14:53

Если использовать двухпортовую память Xilinxа, то будет привязка к Ксалинксу. Чего быть не должно. Если же писать свою fifo то получится именно то, что я и сделал и проблема будет той же.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru