[an error occurred while processing this directive]
опять rloc
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено VETALL 21 апреля 2005 г. 09:37

в верилоговском файле пишу
...
output [15:0] q ;
reg [15:0] q ;

// synthesis attribute RLOC of q[0] is R0C0.FFY;
// synthesis attribute RLOC of q[1] is R0C0.FFX;

и так далее все шестнадцать регистров в одну колонку..
НОЛЬ ВНИМАНИЯ! хоть бы где warning проскочил, в репорте fpga express - нет, в map - нет. может я не там ищу?
далее... во всех экзамплах которые я видел, всегда было написано что-то типа:
fmap_xor4 x03 /* synthesis xc_uset=”SET1" xc_rloc=”R0C0.f”*/
(z03, a[0], a[1], a[2], a[3]);

то есть, получается, что attribute можно накладывать на явные типы - fmap_xor4, fdce, а не абстрактные reg.
но ведь это изврат, мне че, так все шестнадцать триггеров описывать? где гибкость? ведь это так быстрее в схематике сделать....

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru