[an error occurred while processing this directive]
Ответ: Шевкопляс Б.В. Микропроцессорные структуры. (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено S1nfuL 01 июня 2005 г. 17:02
В ответ на: (+) отправлено cdg 01 июня 2005 г. 16:33

Шевкопляс. Микропроцессорные структуры. Инженерные решения: Справочник (93г.) (!!!)
Сухман, Шевкопляс. Синхронизация в телекоммуникационных системах. анализ инженерных решений. (2003г.)

я использую самонаписанные:


scrambler : process(reset, clk8x)
begin
if reset = '0' then
scr_reg <= (others => '0');
nrz_l <= '0';
elsif clk8x'event and clk8x = '1' then
if rclock = '1' then
scr_reg(0) <= xor_func;
scr_reg(1) <= scr_reg(0);
scr_reg(2) <= scr_reg(1);
scr_reg(3) <= scr_reg(2);
scr_reg(4) <= scr_reg(3);
scr_reg(5) <= scr_reg(4);
scr_reg(6) <= scr_reg(5);
scr_reg(7) <= scr_reg(6);

nrz_l <= xor_func;
end if;
end if;
xor_func <= rdata_trig xor (zero_flag xor (scr_reg(5) xor scr_reg(6) ));

case scr_reg is
when "00000000" => zero_flag <= '1';
when others => zero_flag <= '0';
end case;
end process scrambler;

descrambler : process(reset, clk8x, nrz_l, zero_flag, descr_reg)
begin
if reset = '0' then
descr_reg <= (others => '0');
nrz_l_descr <= '0';
elsif clk8x'event and clk8x = '1' then
if clk_event_2 = '1' then
descr_reg(0) <= nrz_l;
descr_reg(1) <= descr_reg(0);
descr_reg(2) <= descr_reg(1);
descr_reg(3) <= descr_reg(2);
descr_reg(4) <= descr_reg(3);
descr_reg(5) <= descr_reg(4);
descr_reg(6) <= descr_reg(5);
descr_reg(7) <= descr_reg(6);

nrz_l_descr <= xor_func;
end if;
end if;
xor_func <= nrz_l xor (zero_flag xor (descr_reg(5) xor descr_reg(6) ));

case descr_reg is
when "00000000" => zero_flag <= '1';
when others => zero_flag <= '0';
end case;
end process descrambler;



Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru