[an error occurred while processing this directive]
Спасибо. Хотелось попроще. Ну ничего, разберемся.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Mike_G 24 июня 2005 г. 14:39
В ответ на: Если выберете CY7C68013 - не ошибетесь. Его "Slave FIFO" это идеал для работы с FPGA. 16 бит и 48 мгц отправлено SM 24 июня 2005 г. 13:57


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru