[an error occurred while processing this directive] [an error occurred while processing this directive]
Спасибо,с ини разобрался(заменил от XE),но еще проблемка...
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Борода 25 марта 2002 г. 02:20
В ответ на: Похоже все библиотеки там уже есть ,наверное проблема в путях к ним...Но где этот ини,и как его заполнить?Спасибо. отправлено Борода 25 марта 2002 г. 00:25

Не работает
Simulate Post.Map VHDL Model
и
Simulate Post-Place and Route VHDL Model
Все библиотеки загружаются,но в конце выскакивает бяка

Error: The ModelSim Evaluation memory limitation has been reached.
и все выключается.
Не корректный кряк,что-ли?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru