[an error occurred while processing this directive]
Помогите плз с VHDL(+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено M_A 13 октября 2005 г. 15:50

Тока начал изучать, материала нормального нет, вот сижу метедом тыка..
Хочу сделать счетчик. Пишу:

LIBRARY ieee;
USE ieee.std_logic_1164.all;


-- Entity Declaration

ENTITY block_name IS
-- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE!
PORT
(
clk : IN STD_LOGIC;
clr : IN STD_LOGIC;
c : OUT STD_LOGIC_VECTOR(7 downto 0)
);
-- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE!

END block_name;


-- Architecture Body

ARCHITECTURE block_name_architecture OF block_name IS

signal CVALUE: integer range 0 to 255;

BEGIN

process(clk, clr)
begin

if (clr = '1') then
CVALUE <= 0;

elsif rising_edge(clk) then
CVALUE <= CVALUE + 1;
end if;


end process;

c <= CVALUE;

END block_name_architecture;


Квартус ругается на строчку c <= CVALUE;

Error: VHDL error at block_name.vhd(69): type of identifier cvalue does not agree with its usage as std_logic_vector type

Че он говорит понятно, а вот как правильно не знаю. Подскажите плз.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru