[an error occurred while processing this directive]
ИМХО так делать нельзя (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено des00 26 октября 2005 г. 18:11
В ответ на: Если не ошибаюсь то вот так...(+) отправлено Victor® 26 октября 2005 г. 16:22

process(clk) is
begin
if (rising_edge(clk)) then
srl(1 downto 0) <= srl(0) & signal_i;
end if;
end process;

process(clk) is
begin
if (rising_edge(clk)) then
if (srl = "01") then -- восходящий фронт "10" - спадающий
signal_o <= '1'; -- может быть и просто комбинационным
else
signal_o <= '0';
end if;
end process;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru