[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: Работает, пояснения внутри
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено mikek 27 апреля 2002 г. 14:45
В ответ на: Почему это не работает? (VHDL)(+) отправлено Виктор 26 апреля 2002 г. 16:52

Работающий (и до и после PAR) вариант кода

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity fignz is
Port ( clock : in std_logic;
reset : in std_logic;
test : out std_logic);
end fignz;

architecture Behavioral of fignz is

begin
process begin
wait until clock'event and clock='1';
if (reset='0')
then test <= '1';
else test <= '0';
end if;
end process;
end Behavioral;

Используется

WebPack ISE 4.2i wp0.0-синьез

ModelSim XE Starter 5.5e-моделирование

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru