[an error occurred while processing this directive]
Помогите, пожалуйста разобраться с подключением файлов в проект ISE, ато сил больше нету....
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено ssalex 31 января 2006 г. 11:21

Уважаемые, помогите. Создал новый проект на ISE, к нему подключил(с копированием) файлы от других проектов. Эти же файлы подобавлял в библиотеку прожект навигатора. Вроде все они определились в дереве как надо, но компилятор выдает следующее:

***************************
ERROR:HDLParsers:164 - .../cnt7bac.vhd Line 104. parse error, unexpected $
WARNING:HDLParsers:3465 - Library as no units. Did not save reference file xst/work/hdllib.ref for it.
****************************

сам умом постичь чего он хочет не могу. Помогите плиз, может чего куда еще нужно подключить. Если можно, подскажите основные жаги по сборе проекта из кусков. Куда их подключать необходимо.

Спасибо всем, кто откликнулся.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru