[an error occurred while processing this directive]
Например:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 01 февраля 2006 г. 10:08
В ответ на: Как более удобно симулировать проект ? отправлено Digi 31 января 2006 г. 09:35

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

entity tb is
generic (
InpFileName_g : string := "../Tkxstrm/zzz.bin"
);
end entity tb;

architecture tb_arch of tb is
type file_t is file of character;
file ff_f : file_t;

signal INP1_REFCLK : std_logic; -- clock
signal Q_U31 : std_logic_vector(7 downto 0); --output

begin

INP1_REFCLK <= not INP1_REFCLK after 5 ns;

rdfl_p: process is
variable fl_opn_sts_v : file_open_status;
variable streambyte : character;
begin
...
file_open(fl_opn_sts_v, ff_f, InpFileName_g, read_mode);
assert fl_opn_sts_v = open_ok
report file_open_status'IMAGE(fl_opn_sts_v)
severity error;
...
while not endfile(ff_f) loop
wait until rising_edge(INP1_REFCLK);
...
read(ff_f, streambyte);
Q_U31 <= std_logic_vector(TO_UNSIGNED((character'POS(streambyte)), 8))

...
end loop;
...
file_close(ff_f);
...
end process rdfl_p;
...

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru