[an error occurred while processing this directive]
Ранее строка с порт мап выглядела так A1: ZZ port map (in1,'0',Out1); - всеравно ошибка
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Alexsmt 01 февраля 2006 г. 16:50
В ответ на: Max+PLUS 10.2 - странная ошибка Association List error: expected converted actual in actual designator corresponding to formal designator <text> отправлено Alexsmt 01 февраля 2006 г. 16:47


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru