[an error occurred while processing this directive]
вот что-то не соображу как в верилоге задать ширину шины, определяемую максимальным значением (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Doka 24 марта 2006 г. 10:00

т.е. например: имеем параметризуемый счетчик с передаваемым через параметр модулем счета
ширину шины надо определить ДО синтеза.
в ВХДЛ это делается так:
constant Clk_RATIO1 : integer := CLK_FREQ / (SLOW_FREQ1 * 2);
signal Count1 : natural range 0 to Clk_RATIO1-1;
-- Clk_RATIO1 можно и через generic задавать. Это не суть
.
так вот получается что в верилоге для этого дела нужен двоичный логарифм чтобы напрямую указать ширину шины.
но встроенной функции такой нет :(
.
как быть?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru