[an error occurred while processing this directive]
Как на VHDL
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено moore 24 марта 2006 г. 13:51

из восьмибитного числа dat1
signal dat1 : STD_LOGIC_VECTOR(7 downto 0);
signal dat2 : STD_LOGIC_VECTOR(11 downto 0);
сделать,например,12-ти битное?

Просто dat2 <= dat1 - ругается.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru